اپلیکیشن زینگ | باربری آنلاین
زینگ - سامانه جامع حمل و نقل

تماس تلفنی

دانلود زینگ
خانه اپلیکیشن زینگ سامانه صادرات و واردات فروشگاه خدمات اطلاعاتی
خدمات جانبی
تماس با ما
زینگ - سامانه جامع حمل و نقل کشوری

تماس تلفنی

دانلود زینگ

جستجو
عضویت در سامانه صادرات، واردات، تجارت
گروه بازرگانی هومان پویان

تعریف مسیرداده پردازنده در مدار منطقی:

مهندسی_برق13

مسیرداده پردازنده از نظر مفهومی به دو قسمت سازماندهی شده است:

  • اجزا یا عناصر حالت اطلاعاتی در مورد وضعیت پردازنده در چرخه ساعت فعلی در اختیار دارند. همه ثبات ها یا رجیسترها عناصر حالت هستند.
  • منطق ترکیبی وضعیت پردازنده را برای چرخه ساعت بعدی تعیین می کند. ALU منطق ترکیبی است.

مدارهای ترکیبی:
خروجی مدارهای ترکیبی فقط به مقادیر فعلی ورودی آن ها بستگی دارد. مدارهای ترکیبی به طور مفهومی از گیت های منطقی اساسی ساخته شده اند: گیت AND، گیت OR، گیت NOT و…. خروجی گیت ها در مدارهای ترکیبی هرگز مستقیماً به ورودی های قبلی بازگردانده نمی شود.

گیت های منطقی اساسی را می توان با هم ترکیب کرد و انواع واحدهای سطوح بالاتر را تشکیل داد:

  • مسیریابی و محاسباتی.

مسیریابی:
مالتی پلکسرها:
دارای چندین سیگنال ورودی داده و یک ورودی کنترل هستند. خروجی با یکی از ورودی ها یکسان است. مقدار سیگنال کنترل تعیین می کند که کدام یک باشد.

دی مالتی پلکسرها:
یک سیگنال ورودی داده، یک ورودی کنترل و چندین سیگنال خروجی دارند. همه سیگنال های خروجی 0 هستند (نادرست) به جز سیگنالی که توسط ورودی کنترل انتخاب شده است. خروجی انتخاب شده مشابه ورودی داده است.

محاسباتی:
جمع کننده های کامل:
یک جمع ستونی باینری را انجام می دهند. این ها بلوک های اصلی اولیه برای جمع و تفریق چندبیتی هستند.

جمع کننده ها و تفریق کننده ها:
دو عدد باینری را جمع یا تفریق می کنند. یک تفریق کننده همان یک جمع کننده با مدارهای اضافی است که عمل مکمل را در یکی از ورودی ها انجام دهد. این مدارها معمولاً طوری طراحی شده اند که علاوه بر جمع یا تفریق مطابق سیگنال کنترلی عمل کنند.

مدار حالت:
در ادامه مدارهای حالت فیلپ فلاپ و رجیستر را معرفی می کنیم.

فیلپ فلاپ:
فلیپ فلاپ عنصر اساسی مدار حالت و دارای سه ورودی است:

  • D - داده هایی که باید در فلیپ فلاپ نوشته شوند.
  • En - امکان می دهد داده ها در فلیپ فلاپ نوشته شوند.
  • Cl - کلاک (ساعت) که تعیین می کند چه زمانی داده ها در فلیپ فلاپ نوشته شوند.

فیلپ‌فلاپ

یک فلیپ فلاپ دارای یک خروجی است:

Q - جدیدترین مقدار داده که در فلیپ فلاپ نوشته شده است.

رجیستر:
رجیستر یا ثبات نسخه آنالوگ چندبیتی فلیپ فلاپ است که دارای سه ورودی است:

  • D - داده هایی که باید در رجیستر ثبت شوند.
  • En - امکان می دهد داده ها در رجیستر ثبت شوند.
  • Cl - که تعیین می کند چه زمانی داده ها در رجیستر ثبت شوند.

رجیستر 

یک رجیستر دارای یک خروجی است:

  • Q - جدیدترین مقدار داده که در رجیستر نوشته شده است.

این ها همان ورودی ها و خروجی هایی هستند که یک فلیپ فلاپ دارد. تنها تفاوت این است که ورودی D و خروجی Q سیگنال های چندبیتی هستند.

همان طور که در شکل بالا نشان داده شده است، یک رجیستر به عنوان گروهی از فلیپ فلاپ ها اجرا می شود که ساعت خود را به اشتراک می گذارند و سیگنال ها را فعال می کنند.

کشتیرانی
حمل زمینی
وانت
حمل هوایی
نظر شما
نام و نام خانوادگی:

شماره تماس (نمایش داده نمی شود):

کد امنیتی: captcha

متن پیام: (نظر شما پس از بررسی منتشر خواهد شد)


مطالب مرتبط:
مخفی کردن >>